summaryrefslogtreecommitdiff
path: root/include/common/romlib.h
blob: 81a6f5c1e3ba30101b0920a3b047197a0d8660c2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
/*
 * Copyright (c) 2018, ARM Limited and Contributors. All rights reserved.
 *
 * SPDX-License-Identifier: BSD-3-Clause
 */

#ifndef ROMLIB_H_

#define ROMLIB_MAJOR   0
#define ROMLIB_MINOR   1
#define ROMLIB_VERSION ((ROMLIB_MAJOR << 8) | ROMLIB_MINOR)

int rom_lib_init(int version);

#endif