summaryrefslogtreecommitdiff
path: root/arch/arm64/boot/dts/freescale/imx8mm-evk-ecspi-slave.dts
blob: e06dbc00d9dc7825c2fef43b4beac88ace02b9c1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
// SPDX-License-Identifier: GPL-2.0
//
// Copyright 2020 NXP

#include "imx8mm-evk.dts"

/delete-node/&spidev0;

&ecspi2 {
	#address-cells = <0>;
	/delete-property/cs-gpios;
	spi-slave;
};

&pinctrl_ecspi2_cs {
	fsl,pins = <
		MX8MM_IOMUXC_ECSPI2_SS0_ECSPI2_SS0		0x82
	>;
};