summaryrefslogtreecommitdiff
path: root/include/asm-cris/arch-v32/hwregs/reg_rdwr.h
blob: 44e60233c68feb36c1ed9d0d763974a38569f8cc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
/* $Id: reg_rdwr.h,v 1.6 2005/04/24 18:30:58 starvik Exp $
 *
 * Read/write register macros used by *_defs.h
 */

#ifndef reg_rdwr_h
#define reg_rdwr_h


#define REG_READ(type, addr) *((volatile type *) (addr))

#define REG_WRITE(type, addr, val) \
   do { *((volatile type *) (addr)) = (val); } while(0)

#endif